[C#] 纯文本查看 复制代码
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets camera_pclk_IBUF]
set_property IOSTANDARD LVCMOS33 [get_ports camera_pclk]
set_property IOSTANDARD LVCMOS33 [get_ports camera_xclk]
set_property PACKAGE_PIN M17 [get_ports camera_pclk]
set_property PACKAGE_PIN A20 [get_ports camera_xclk]
set_property IOSTANDARD LVCMOS33 [get_ports hdmi_i2c_scl_io]
set_property IOSTANDARD LVCMOS33 [get_ports hdmi_i2c_sda_io]
set_property IOSTANDARD LVCMOS33 [get_ports camera_i2c_scl_io]
set_property IOSTANDARD LVCMOS33 [get_ports camera_i2c_sda_io]
set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports camera_vsync]
set_property IOSTANDARD LVCMOS33 [get_ports camera_href]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[11]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[10]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[9]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {disp_data[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports disp_de]
set_property IOSTANDARD LVCMOS33 [get_ports disp_hs]
set_property IOSTANDARD LVCMOS33 [get_ports disp_vs]
set_property IOSTANDARD LVCMOS33 [get_ports disp_pclk]
set_property PACKAGE_PIN N17 [get_ports camera_i2c_scl_io]
set_property PACKAGE_PIN P18 [get_ports camera_i2c_sda_io]
set_property PACKAGE_PIN U15 [get_ports disp_pclk]
set_property PACKAGE_PIN T10 [get_ports hdmi_i2c_scl_io]
set_property PACKAGE_PIN R14 [get_ports hdmi_i2c_sda_io]
set_property PACKAGE_PIN L16 [get_ports {camera_data[7]}]
set_property PACKAGE_PIN L17 [get_ports {camera_data[6]}]
set_property PACKAGE_PIN K17 [get_ports {camera_data[5]}]
set_property PACKAGE_PIN M15 [get_ports {camera_data[4]}]
set_property PACKAGE_PIN L14 [get_ports {camera_data[3]}]
set_property PACKAGE_PIN N15 [get_ports {camera_data[2]}]
set_property PACKAGE_PIN M14 [get_ports {camera_data[1]}]
set_property PACKAGE_PIN J15 [get_ports {camera_data[0]}]
set_property PACKAGE_PIN N16 [get_ports camera_vsync]
set_property PACKAGE_PIN U13 [get_ports camera_href]
set_property PACKAGE_PIN W20 [get_ports {disp_data[15]}]
set_property PACKAGE_PIN W19 [get_ports {disp_data[14]}]
set_property PACKAGE_PIN V17 [get_ports {disp_data[13]}]
set_property PACKAGE_PIN V16 [get_ports {disp_data[12]}]
set_property PACKAGE_PIN T15 [get_ports {disp_data[11]}]
set_property PACKAGE_PIN V20 [get_ports {disp_data[10]}]
set_property PACKAGE_PIN U17 [get_ports {disp_data[9]}]
set_property PACKAGE_PIN V18 [get_ports {disp_data[8]}]
set_property PACKAGE_PIN T16 [get_ports {disp_data[7]}]
set_property PACKAGE_PIN R16 [get_ports {disp_data[6]}]
set_property PACKAGE_PIN U19 [get_ports {disp_data[5]}]
set_property PACKAGE_PIN Y19 [get_ports {disp_data[4]}]
set_property PACKAGE_PIN W18 [get_ports {disp_data[3]}]
set_property PACKAGE_PIN Y18 [get_ports {disp_data[2]}]
set_property PACKAGE_PIN W16 [get_ports {disp_data[1]}]
set_property PACKAGE_PIN Y17 [get_ports {disp_data[0]}]
set_property PACKAGE_PIN W15 [get_ports disp_de]
set_property PACKAGE_PIN U14 [get_ports disp_hs]
set_property PACKAGE_PIN W14 [get_ports disp_vs]